【Verilog】握手信号实现跨时钟域数据传输-handshake
创始人
2024-05-30 04:25:15
0

文章目录

      • handshake握手电路
      • 使用握手信号实现跨时钟域数据传输
        • 接口信号图
        • 题目描述
        • 解题思路
        • 代码设计
          • 数据发送模块data_driver
          • 数据接收模块data_receiver
        • testbench
        • 波形

handshake握手电路

  • 跨时钟域处理是个很庞大并且在设计中很常出现的问题
  • 握手(handshake)是用来处理信号跨时钟域传递的一个有效的方法
  • 使用握手协议方式处理跨时钟域数据传输,只需要对双方的握手信号(req和ack)分别使用脉冲检测方法进行同步
    • req与ack就和TCP传输之前的三次握手协议类似,是一种有来有往的信息请求与应答
  • 具体实现中
    1. 假设req、ack、data总线在初始化时都处于无效状态,发送域先把数据放入总线,随后发送有效的req信号给接收域
    2. 接收域在检测到有效的req信号后锁存数据总线,然后回送一个有效的ack信号表示读取完成应答
    3. 发送域在检测到有效ack信号后撤销当前的req信号,接收域在检测到req撤销(需要进行边沿检测)后也相应撤销ack信号,此时完成一次正常握手通信
    4. 此后,发送域可以继续开始下一次握手通信,如此循环
    • 该方式能够使接收到的数据稳定可靠,有效的避免了亚稳态的出现,但控制信号握手检测会消耗通信双方较多的时间

使用握手信号实现跨时钟域数据传输

  • 题目来源于牛客网刷题库
  • https://www.nowcoder.com/practice/2bf1b28a4e634d1ba447d3495134baac

接口信号图

在这里插入图片描述

题目描述

  • 分别编写一个数据发送模块和一个数据接收模块,模块的时钟信号分别为clk_a,clk_b
  • 两个时钟的频率不相同。数据发送模块循环发送0-7,在每个数据传输完成之后,间隔5个时钟,发送下一个数据,在两个模块之间添加必要的握手信号,保证数据传输不丢失
  • data_req和data_ack的作用说明:
    • data_req表示数据请求接受信号,当data_out发出时,该信号拉高,在确认数据被成功接收之前,保持为高,期间data应该保持不变,等待接收端接收数据
    • 当数据接收端检测到data_req为高,表示该时刻的信号data有效,保存数据,并拉高data_ack
    • 当数据发送端检测到data_ack,表示上一个发送的数据已经被接收,撤销data_req,然后可以改变数据data,等到下次发送时,再一次拉高data_req

解题思路

  • 分别编写数据发送模块与数据接收模块
  • 对于数据发送模块
    • 数据发送模块会向数据接收模块发送req请求,表示数据已经准备好,可以发送给接收模块
    • 收到接收模块的ack应答信号,表示数据接收模块同时已经接收到数据,此时需要撤销req请求,改变发送数据data,准备下一次的发送
  • 对于数据接收模块
    • 数据接收模块收到数据发送模块的req信号之后,皆可以进行数据data的接收
    • 当数据接收模块将data接收保存之后,就需要向数据发送模块返回ack信号,表示当前数据data已成功接收,无需继续保持,可以准备下一个要传输的数据了
  • 对于两模块的跨时钟问题
    • 由于两模块具有不同的时钟域,故在进行数据传递时,若直接使用接收到的信号,会产生亚稳态问题,故在两个模块中都需要对不同时钟域传递而来的信号进行同步

代码设计

数据发送模块data_driver
`timescale 1ns/1ns// 数据发送模块
module data_driver(input            clk_a,input            rst_n,input            data_ack,output reg [3:0] data,output reg       data_req
);reg data_ack_1;
reg data_ack_2;
reg [2:0] count;// 打两拍消除亚稳态
always @(posedge clk_a or negedge rst_n) beginif (~rst_n) begindata_ack_1 <= 1'b0;data_ack_2 <= 1'b0;end else begindata_ack_1 <= data_ack;data_ack_2 <= data_ack_1;end
end// 输出数据data
always @(posedge clk_a or negedge rst_n) beginif (~rst_n) begindata <= 4'b0;end else if (data_ack_1 && !data_ack_2) beginif (data == 4'd7) begindata <= 4'd0;end else begindata <= data + 1;endend else begindata <= data;end
end// count计数模块
always @(posedge clk_a or negedge rst_n) beginif (~rst_n) begincount <= 3'b0;end else if (data_ack_1 && !data_ack_2) begincount <= 3'b0;end else if (data_req) begincount <= count;end else begincount <= count + 1;end
end// data_req信号
always @(posedge clk_a or negedge rst_n) beginif (~rst_n) begindata_req <= 1'b0;end else if (count == 3'b100) begindata_req <= 1'b1;end else if (data_ack_1 && !data_ack_2) begindata_req <= 1'b0;end else begindata_req <= data_req;end
endendmodule
数据接收模块data_receiver
`timescale 1ns/1ns// 数据接收模块
module data_receiver(input            clk_b,input            rst_n,input      [3:0] data,input            data_req,output reg       data_ack
);reg data_req_1;
reg data_req_2;
reg [3:0] data_in;// 打两拍消除亚稳态
always @(posedge clk_b or negedge rst_n) beginif (~rst_n) begindata_req_1 <= 1'b0;data_req_2 <= 1'b0;end else begindata_req_1 <= data_req;data_req_2 <= data_req_1;end
end// data_ack信号
always @(posedge clk_b or negedge rst_n) beginif (~rst_n) begindata_ack <= 1'b0;end else if (data_req_1) begindata_ack <= 1'b1;end else begindata_ack <= 1'b0;end
end// 接收data数据到data_in
always @(posedge clk_b or negedge rst_n) beginif (~rst_n) begindata_in <= 4'b0;end else if (data_req_1 && !data_req_2) begindata_in <= data;end else begindata_in <= data_in;end
endendmodule

testbench

`timescale  1ns / 1ps
`include "data_driver.v"
`include "data_receiver.v"module tb_handshake;// data_driver Parameters
parameter PERIOD1  = 10;
parameter PERIOD2  = 20; // data_driver Inputs
reg   clk_a                                = 0 ;
reg   rst_n                                = 0 ;// data_receiver Inputs
reg   clk_b                                = 0 ;wire  [3:0] data;initial
beginforever #(PERIOD1/2)  clk_a=~clk_a;
endinitial
beginforever #(PERIOD2/2) clk_b = ~clk_b;
endinitial
begin#(PERIOD1*2) rst_n  =  1;
enddata_driver  u_data_driver (.clk_a                   ( clk_a           ),.rst_n                   ( rst_n           ),.data_ack                ( data_ack        ),.data                    ( data      [3:0] ),.data_req                ( data_req        )
);data_receiver  u_data_receiver (.clk_b                   ( clk_b           ),.rst_n                   ( rst_n           ),.data                    ( data      [3:0] ),.data_req                ( data_req        ),.data_ack                ( data_ack        )
);initial
begin$dumpfile("handshake.vcd");$dumpvars;#1000$finish;
endendmodule

波形

波形图

  • 波形解释
    1. 按照题目要求,data循环发送0~7
    2. 按照题目要求,在一个data成功发送后,需要间隔5个时钟周期,在data_req信号由高到低再到高,中间间隔为5个clk_a周期,即为5个数据发送模块的时钟间隔
    3. 从整个系统的宏观角度进行观测
      • 在clk_a时钟下的data_req拉高发送请求后,clk_b时钟下的data_ack拉高表示数据已接收,紧接着data_req拉低进行数据准备阶段的间隔
      • 在clk_b时种下的data_ack拉高发送反馈后,clk_a时钟下的data_req拉低表示当前数据已停止发送,紧接着data_ack持续拉高表示可以发送新数据
    4. 从数据发送端进行观测
      • 发送数据的同时,data_req持续拉高,表示正在持续进行当前数据的发送,当接收到data_ack信号后,data_req拉低并停止当前数据的发送,准备新数据
      • 固定间隔之后,再次进行数据的请求发送与数据发送
    5. 从数据接收端进行观测
      • 收到data_req信号,开始接收发送来的data数据,当数据成功接收保存后,返回data_ack表示可以接收新数据
      • 反馈data_ack信号的一段时间后,会重新接收到data_req信号表示新数据已经发送,开始新一轮的数据接收工作

相关内容

热门资讯

Python|位运算|数组|动... 目录 1、只出现一次的数字(位运算,数组) 示例 选项代...
张岱的人物生平 张岱的人物生平张岱(414年-484年),字景山,吴郡吴县(今江苏苏州)人。南朝齐大臣。祖父张敞,东...
西游西后传演员女人物 西游西后传演员女人物西游西后传演员女人物 孙悟空 六小龄童 唐僧 徐少华 ...
名人故事中贾岛作诗内容简介 名人故事中贾岛作诗内容简介有一次,贾岛骑驴闯了官道.他正琢磨着一句诗,名叫《题李凝幽居》全诗如下:闲...
和男朋友一起优秀的文案? 和男朋友一起优秀的文案?1.希望是惟一所有的人都共同享有的好处;一无所有的人,仍拥有希望。2.生活,...
戴玉手镯的好处 戴玉手镯好还是... 戴玉手镯的好处 戴玉手镯好还是碧玺好 女人戴玉?戴玉好还是碧玺好点佩戴手镯,以和田玉手镯为佳!相嫌滑...
依然什么意思? 依然什么意思?依然(汉语词语)依然,汉语词汇。拼音:yī    rán基本解释:副词,指照往常、依旧...
高尔基的散文诗 高尔基的散文诗《海燕》、《大学》、《母亲》、《童年》这些都是比较出名的一些代表作。
心在飞扬作者简介 心在飞扬作者简介心在飞扬作者简介如下。根据相关公开资料查询,心在飞扬是一位优秀的小说作者,他的小说作...
卡什坦卡的故事赏析? 卡什坦卡的故事赏析?讲了一只小狗的故事, 我也是近来才读到这篇小说. 作家对动物的拟人描写真是惟妙...
林绍涛为简艾拿绿豆糕是哪一集 林绍涛为简艾拿绿豆糕是哪一集第三十二集。 贾宽认为是阎帅间接导致刘映霞住了院,第二天上班,他按捺不...
小爱同学是女生吗小安同学什么意... 小爱同学是女生吗小安同学什么意思 小爱同学,小安同学说你是女生。小安是男的。
内分泌失调导致脸上长斑,怎么调... 内分泌失调导致脸上长斑,怎么调理内分泌失调导致脸上长斑,怎么调理先调理内分泌,去看中医吧,另外用好的...
《魔幻仙境》刺客,骑士人物属性... 《魔幻仙境》刺客,骑士人物属性加点魔幻仙境骑士2功1体质
很喜欢她,该怎么办? 很喜欢她,该怎么办?太冷静了!! 太理智了!爱情是需要冲劲的~不要考虑着考虑那~否则缘...
言情小说作家 言情小说作家我比较喜欢匪我思存的,很虐,很悲,还有梅子黄时雨,笙离,叶萱,还有安宁的《温暖的玄》 小...
两个以名人的名字命名的风景名胜... 两个以名人的名字命名的风景名胜?快太白楼,李白。尚志公园,赵尚志。
幼儿教育的代表人物及其著作 幼儿教育的代表人物及其著作卡尔威特的《卡尔威特的教育》,小卡尔威特,他儿子成了天才后写的《小卡尔威特...
海贼王中为什么说路飞打凯多靠霸... 海贼王中为什么说路飞打凯多靠霸气升级?凯多是靠霸气升级吗?因为之前刚到时确实打不过人家因为路飞的实力...
运气不好拜财神有用吗运气不好拜... 运气不好拜财神有用吗运气不好拜财神有没有用1、运气不好拜财神有用。2、拜财神上香前先点蜡烛,照亮人神...